Device Applications of Rapid Thermal Processing

  • PDF / 814,346 Bytes
  • 14 Pages / 420.48 x 639 pts Page_size
  • 46 Downloads / 219 Views

DOWNLOAD

REPORT


DEVICE APPLICATIONS OF RAPID THERMAL PROCESSING

J. F. GIBBONS, D. M. DOBKIN, M. E. GREINER, J. L. HOYT, AND W. G. OPYD Stanford Electronics Laboratories, Stanford, California 94305

ABSTRACT The potential of rapid thermal processing for applications in silicon integrated circuit technology, silicon microwave bipolar technology and GaAs FET technology is explored. In addition, two novel applications for GaAs processing are described.

INTRODUCTION Rapid annealing with both pulsed and cw sources has been extensively studied over the past several years, first as a possible replacement for the furnace annealing of ion implanted layers, and more recently as a process for recrystallizing thin films of silicon on insulating substrates, reacting metals with silicon to form silicides, and reflowing glass prior to metallization. The majority of these studies have been reported or reviewed at previous symposia in this series and appear in the collected proceedings [1-5]. This work has shown that it is feasible to use rapid annealing in semiconductor technology; but that of course does not guarantee that the application will occur. The important question is whether the technology provides a significant advantage over existing or projected technologies. Technologies that have "made it" in the silicon industry, such as ion implantation, plasma etching, low pressure chemical vapor deposition and the like have satisfied this criterion, usually within 7 or 8 years from the time research was first published. Hence we are approaching the time when we should expect to see applications of rapid annealing if they are to occur. For several good reasons, rapid thermal annealing with halogen lamps, arc sources or hot graphite strips has emerged as the best candidate in the near future for annealing ion implanted material, so we will concentrate on that technology in this paper. For silicon integrated circuit technology, the movement of the field toward VLSI appears to provide an opportunity for applications of rapid thermal annealing that would probably not exist otherwise. This opportunity can be fairly judged against specific technical criteria such as the uniformity of activation of implanted dopants, dopant redistribution, wafer warpage, minimization of residual defects, energy consumed during processing, and the throughput of large diameter (100 to 125 mm) wafers. We will review these points briefly below, attempting only to add what is new to the excellent previous review of Hill [6]. For other semiconductor materials, the conditions for application are somewhat less stringent since mature high volume fabrication technologies are not well developed; however, other new technologies, such as molecular beam epitaxy, offer very significant advantages to the device and system designer, so that beam processing is still not assured of a place of importance. Here, however, the territory is at least more open and recent developments, to be described, do offer significant improvements in device properties over existing methods of fabrication.

Data Loading...