Delamination-induced dielectric breakdown in Cu/low- k interconnects

  • PDF / 787,331 Bytes
  • 7 Pages / 585 x 783 pts Page_size
  • 79 Downloads / 354 Views

DOWNLOAD

REPORT


A.Y. Du,b) Y.C. Tan, and C.M. Ng Chartered Semiconductor Manufacturing Ltd., Singapore 738406 (Received 8 January 2008; accepted 14 March 2008)

Delamination at an interface with the weakest adhesion strength, which is found to be between the SiC(N) capping layer and the SiOCH low-k dielectric, is a potential failure mechanism contributing to time-dependent dielectric breakdown (TDDB) reliability. Bond breaking at that interface is believed to be driven by a field-enhanced thermal process and catalyzed by leakage current through the capping layer based on physical analyses and TDDB measurements. Delamination is found to be easier in terminated tips and corners than in parallel comb lines due to the layout orientation of the Cu lines. Moreover, TDDB activation energy Ea can be an indicator of the ease of delamination, whereby a lower Ea corresponds to an easier delamination.

I. INTRODUCTION

Time-dependent dielectric breakdown (TDDB) reliability has become increasingly important due to the evolution of interconnect dielectrics to lower dielectric constants with poorer thermomechanical properties as compared to conventional SiO2. Several electric field (E) models have been proposed: the thermochemical E model based on a field-enhanced thermal bond breakage,1 the √E model based on Cu diffusion,2 and the 1/E model based on electron impact damage.3 This is because there is no definite physical evidence on the TDDB degradation mechanism. One reason for the lack of physical evidence is the challenges faced in performing an on-site failure analysis due to the uncontrollable damage caused after electrical stress and difficulty in pin-pointing the failure location. In a severe dielectric breakdown, a complete destruction at the failure site (seen as a burn mark) leaves no evidence to understand what could have initiated the failure. On the other hand, precise localization of the failure site is difficult for milder breakdown failures that are not visible from the surface. This is due to the large area (∼10−3 cm2) of standard comb test structures that is stressed, compared to the much smaller failure analysis area (∼10−8 cm2) required for planar or cross-sectional

a)

Address all correspondence to this author. e-mail: [email protected] b) Previous address: Institute of Microelectronics, Singapore Science Park II, Singapore 117685. DOI: 10.1557/JMR.2008.0222 1802 J. Mater. Res., Vol. 23, No. 6, Jun 2008 http://journals.cambridge.org Downloaded: 17 Mar 2015

scanning electron microscopy (SEM) or transmission electron microscopy (TEM). Moreover, present failure localization techniques have insufficient resolution and sensitivity for effective localization. In this work, a new test structure was designed and fabricated to investigate the factors causing dielectric breakdown prior to the catastrophic failure so that potential failure points could be identified and rectified efficiently. The dimension of the test structure was specifically designed to confine the failure to one particular site and therefore enable precise TEM anal

Data Loading...